www.久久久久|狼友网站av天堂|精品国产无码a片|一级av色欲av|91在线播放视频|亚洲无码主播在线|国产精品草久在线|明星AV网站在线|污污内射久久一区|婷婷综合视频网站

當(dāng)前位置:首頁 > 電源 > 數(shù)字電源
[導(dǎo)讀]本篇繼續(xù)挖掘CPLD 潛力,給出一種單片機(jī)驅(qū)動CPLD的PWM 正弦信號發(fā)生器設(shè)計,充分體現(xiàn)了CPLD 的靈活多變,配合單片機(jī)控制,其妙無窮,以下方案均在Mini51 板上實現(xiàn)。

前面幾期給讀者介紹了單片機(jī)+CPLD 系統(tǒng)設(shè)計,本篇繼續(xù)挖掘CPLD 潛力,給出一種單片機(jī)驅(qū)動CPLD的PWM 正弦信號發(fā)生器設(shè)計,充分體現(xiàn)了CPLD 的靈活多變,配合單片機(jī)控制,其妙無窮,以下方案均在Mini51 板上實現(xiàn)。

脈寬調(diào)制PWM(Pulse Width Modulation)是利用數(shù)字輸出信號對模擬電路進(jìn)行控制的一種非常有效的技術(shù),廣泛應(yīng)用在從測量、通信到功率控制與變換的許多領(lǐng)域中。

一、PWM原理

PWM 是一種對模擬信號電平進(jìn)行數(shù)字編碼的方法。通過高分辨率計數(shù)器的使用,方波的占空比被調(diào)制用來對一個具體模擬信號的電平進(jìn)行編碼。PWM 信號仍然是數(shù)字的,因為在給定的任何時刻,滿幅值的直流供電要么完全有(ON),要么完全無(OFF)。電壓或電流源是以一種通(ON) 或斷(OFF) 的重復(fù)脈沖序列被加到模擬負(fù)載上去的。通的時候即是直流供電被加到負(fù)載上的時候,斷的時候即是供電被斷開的時候。

只要帶寬足夠,任何模擬值都可以使用PWM 進(jìn)行編碼。

如圖1 所示,用一系列等幅不等寬的脈沖來代替一個正弦半波,正弦半波N 等分,看成N 個相連的脈沖序列,寬度相等,但幅值不等;用矩形脈沖代替,等幅,不等寬,中點重合,面積(沖量)相等,寬度按正弦規(guī)律變化。

 

 

圖1 用PWM波代替正弦半波

SPWM 波形——脈沖寬度按正弦規(guī)律變化而和正弦波等效的PWM 波形。

二、基于CPLD的PWM方案

一個PWM 發(fā)生器必須包括計數(shù)器,數(shù)據(jù)比較器,另外就是配置PWM 參數(shù)的時鐘分頻寄存器和占空比寄存器,結(jié)構(gòu)框圖如圖2 所示,這些電路都可以用CPLD 來實現(xiàn)。

 

 

圖2 PWM控制器結(jié)構(gòu)框圖

高頻時鐘信號經(jīng)分頻器驅(qū)動計數(shù)器,計數(shù)器如圖3 所示,總是從Bottom 到Top 的循環(huán)計數(shù),計數(shù)器的輸出和占空比寄存器里的數(shù)據(jù)經(jīng)數(shù)據(jù)比較器比較,輸出PWM 信號,當(dāng)計數(shù)器輸出小于占空比設(shè)定值時輸出低電平(0),否則輸出高電平(1),如圖3(b)(c)所示。

 

 

圖3 PWM信號發(fā)生器時序波形圖

從圖中還可以看出,計數(shù)器的周期就是PWM 信號的周期,通過修改占空比寄存器從而實現(xiàn)對輸出PWM 信號高低電平比例控制,圖3(b)是占空比為P1 的PWM輸出,圖3(c)是占空比為P2 的PWM 輸出,它們周期相同,高低電平的比例不同。

下面用硬件描述語言來設(shè)計CPLD 的內(nèi)部電路,這里給出VerilogHDL 版本的參考代碼。

module Mini51b_PWM(P0,ALE,P27,WR,PWM);// 模塊電路命名和端口說明。

input [7:0]P0;// 數(shù)據(jù)輸入接MCU 數(shù)據(jù)P0 口

input ALE,P27,WR;// 幾個MCU 讀寫控制引腳

output PWM;//PWM 信號輸出

wire [7:0]addr;// 內(nèi)部地址線

reg [7:0]daPWMc,daPWMs;// 定義計數(shù)器和占空比設(shè)定寄存器

reg [3:0]divPWM,divPWMc;// 分頻控制變量

reg PWM;// 輸出鎖存器

assign addr = ALE?P0 : addr; // 低八位地址鎖存

always @(negedge WR)// 在MCU 寫信號有效時執(zhí)行寄存器設(shè)定

begin

case({P27,addr[4:0]}) // 根據(jù)地址選擇寄存器

6'b10_1000: daPWMs <= P0;// 寫帶地址的寄存器

6'b10_1001: divPWM <= P0[3:0];// 寫帶地址的寄存器

default:begin// 其它地址則讓寄存器保持不變

daPWMs <= daPWMs;

divPWM <= divPWM;

end

endcase

end

always @(posedge ALE) begin// 這里利用MCU 的ALE 做時鐘信號

if(divPWMc == divPWM) begin // 與分頻系數(shù)比較

divPWMc<=0;

if(daPWMc<100) daPWMc <= daPWMc+1; //PWM 調(diào)整精度1%

else daPWMc <= 0;

if(daPWMs < daPWMc) PWM <= 0;//PWM 發(fā)生器

else PWM <= 1;

end

else divPWMc <= divPWMc+1;// 時鐘分頻

end

endmodule

關(guān)于單片機(jī)與CPLD 之間的接口請讀者參考本刊前幾期筆者撰寫的文章。

與之對應(yīng)的MCU 測試程序為:

#include

#include

#define PWM XBYTE[0xffe8]

#define DIV XBYTE[0xffe9]

void main()

{

DIV = 15; //PWM 信號頻率計算晶振22.1184M/6/100/

(DIV+1)=2.30K(實測2.281K)

PWM=50; // 設(shè)定占空比50%,前面計數(shù)器范圍為0~99

while(1) ;

}

執(zhí)行單片機(jī)程序,選擇不同的分頻系數(shù)和占空比值,從CPLD 的引腳輸出PWM 信號示波器截圖如圖4所示。

 

 

 

 

圖4 不同占空比的PWM信號示波器截圖

三、SPWM

如果將占空比按正弦規(guī)律隨著時間變化,就可以得到正弦調(diào)制的PWM 信號,也就是SPWM。如圖5 所示,該信號經(jīng)過阻容濾波可以得到正弦模擬信號,這里的運(yùn)放做電壓跟隨器用,對信號驅(qū)動能力進(jìn)行放大。實際得到的正弦信號示波器截圖效果如圖6 所示。

 

 

圖5 SPWM阻容濾波電路[!--empirenews.page--]

 

 

 

 

圖6 正弦信號示波器截圖

正弦信號發(fā)生器MCU 演示程序:

#include

#include

#define PWM XBYTE[0xffe8]

unsigned char code sine_dot[32]={49,59,68,77,84,90,95,98,99,98,95,90,84,77,68,59,49,40,30,22,14,8,4,1,0,1,4,8,14,22,30,40};// 正弦表

void main()

{

unsigned char i=0;

while(1) {

PWM = sine_dot[i];

i=(i++)&0x1f;

}// 如果要嚴(yán)格控制SPWM 的周期,這里的while 循環(huán)請用定時器來驅(qū)動

}

四、三路精確相位差正弦信號發(fā)生器

對CPLD 改進(jìn)設(shè)計,很容易實現(xiàn)多路PWM 輸出。

例如設(shè)計具有精確相位差的三相正弦信號,CPLD 電路VerilogHDL 程序如下:

module Mini51b_PWM(P0,ALE,P27,WR,PWM);

input [7:0]P0;

input ALE,P27,WR;

output [2:0]PWM;

wire [3:0]addr;

reg [7:0]daPWMc;

reg [7:0]daPWMs0,daPWMs1,daPWMs2;

reg [2:0]PWM;

wire clk,nclk,a,b,c,d;

assign addr= (ALE)?P0[3:0]:addr; // 低八位地址鎖存

always @(negedge WR)

begin

case({P27,addr})

5'H10: daPWMs0 <= P0;// 寫帶地址的寄存器

5'H11: daPWMs1 <= P0;// 寫帶地址的寄存器

5'H12: daPWMs2 <= P0;// 寫帶地址的寄存器

default:

begin

daPWMs0 <= daPWMs0;

daPWMs1 <= daPWMs1;

daPWMs2 <= daPWMs2;

end

endcase

end

always @(posedge clk) begin

daPWMc <= daPWMc+1; //PWM 調(diào)整精度1%

if(daPWMs0 < daPWMc) PWM[0] <= 0;//PWM 發(fā)生器

else PWM[0] <= 1;

if(daPWMs1 < daPWMc) PWM[1] <= 0;//PWM 發(fā)生器

else PWM[1] <= 1;

if(daPWMs2 < daPWMc) PWM[2] <= 0;//PWM 發(fā)生器

else PWM[2] <= 1;

end

assign nclk=!clk;

LCELL A0(。in(nclk), .out(a));

LCELL A1(。in(a), .out(b));

LCELL A2(。in(b), .out(c));

LCELL A3(。in(c), .out(d));

LCELL A4(。in(d), .out(clk));//PWM 時鐘來自CPLD 內(nèi)部

LCELL 延遲電路振蕩器

endmodule

與之對應(yīng)的MCU 演示程序:

#include

#include

#define PWM0 XBYTE[0xfff0]

#define PWM1 XBYTE[0xfff1]

#define PWM2 XBYTE[0xfff2]

unsigned char code sine_dot[36]= //8 階,36 點正弦表

{

0x80,0x96,0xab,0xbf,0xd2,0xe2,0xee,0xf8,0xfe,0xff,0xfe,0xf8,

0xee,0xe2,0xd2,0xc0,0xab,0x96,0x80,0x69,0x54,0x40,0x2

d,0x1e,

0x11,0x07,0x01,0x00,0x01,0x07,0x10,0x1d,0x2d,0x3f,0x53,

0x69

};

void main()

{

unsigned char a,b,c;

a=0;

while(1) {

a %= 36;// 對36 取余數(shù)及0~35

b=(a+12)%36;// 較a 路滯后120 度相位

c=(a+24)%36;// 較a 路滯后240 度相位

PWM0 = sine_dot[a];

PWM1 = sine_dot[b];

PWM2 = sine_dot[c];

a++;

}

}

實際得到的三相正弦信號示波器截圖效果如圖7所示,只是雙蹤示波器同時只能看兩路信號。

 

 

圖7 具有精確相位差的三相正弦信號示波器截圖

五、結(jié)束語

今后,MCU+CPLD 結(jié)構(gòu)將是很多電子系統(tǒng)設(shè)計的一種基本架構(gòu),MCU 可以用程序?qū)崿F(xiàn)復(fù)雜智能的控制與檢測,CPLD 又可以實現(xiàn)靈活多變的外圍擴(kuò)展電路設(shè)計,尤其是可以用硬件實現(xiàn)特殊的MCU 無法實現(xiàn)的功能,彌補(bǔ)MCU 響應(yīng)速度慢影響實時性問題,兩者互補(bǔ),完全實現(xiàn)硬件軟設(shè)計,使得同一硬件平臺能夠通過軟件實現(xiàn)更多的功能。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險,如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點: 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運(yùn)營商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團(tuán))股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉